中兴陆由zxr10 5224 设置命令

中兴陆由zxr10 5224 设置命令,第1张

中兴ZXR10配置说明

一、配置基础

1、进入[BootManager]的密码为zte

2、默认登陆用户:admin密码(loginpass):zhongxing

默认Enable密码(adminpass):zhongxing

3、配置模式

序号 模式 命令 提示符

1 用户模式 无 zte>

2 全局配置模式 enable zte(cfg)#

3 SNMP配置模式 config snmp zte(cfg-snmp)#

4 三层配置模式 config router zte(cfg-router)#

5 文件系统配置模式 config tffs zte(cfg-tffs)#

6 NAS配置模式 config nas zte(cfg-nas)#

7 集群管理配置模式 config group zte(cfg-group)#

4、VLAN划分:256个VLAN为一个段,红色字体的表示我们使用的VLAN段。

序号 VLAN范围 序号 VLAN范围

1 0-255 9 2048-2303

2 256-511 10 2304-2559

3 512-767 11 2560-2815

4 768-1023 12 2816-3071

5 1024-1279 13 3072-3327

6 1280-1535 14 3328-3583

7 1536-1791 15 3584-3839

8 1792-2047 16 3840-4094

5、采用Telnet用户远程认证后,交换机的管理用户和Radius服务器中设置的用户都可以登陆交换机。

二、配置规范

举例:

1、用户2#202接入Port 1,速率10M,全双工模式,用户vlan2500;

2、上行端口为Port 25,速率100M,全双工模式,上行潜山路第二台MA5200的第18口(QSL-MA5200(2)-E18);

3、管理vlan为981,管理ip为61.133.186.172/24;

4、设置广播风暴抑制门限为24000-72000bytes;

5、SNMP的只读团体名为share,目标主机为 218.22.16.5和202.102.198.62;

6、Telnet采用远程Radius认证方式,域名:domain_jrw Radius服务器218.22.16.2 认证端口 UDP 1812;

7、启用SSH功能。

1、系统名称、时间设置

交换机名称的命名方式为:上行局向_小区名称_交换机位置。如:上行潜山路的新西南花庭小区的中心交换机的编号为:QSL_XXNHT_CS。

zte(cfg)#hostname QSL_XXNHT_CS//设置交换机名称为QSL_XXNHT_CS

QSL_XXNHT_CS(cfg)#set date 2005-11-08 time 22:00:00 //设置系统日期和时间

QSL_XXNHT_CS(cfg)# saveconfig //将当前配置文件保存到Flash Memory中

2、VLAN设置

VLAN的描述暂时规定为:管理VLAN的描述是[ManagerVlan],用户VLAN的描述为[UserVlan]。

QSL_XXNHT_CS(cfg)#set vlan 2500 enable //使能管理vlan 2500

QSL_XXNHT_CS(cfg)#set vlan 2500 add port 1 untag //设置vlan2500包含端口1

QSL_XXNHT_CS(cfg)#set vlan 2500 add port 25 tag//vlan 2500通过上行口25口透传

QSL_XXNHT_CS(cfg)#create vlan 2500 name [UserVlan] //创建vlan描述为[UserVlan]

QSL_XXNHT_CS(cfg)#set vlan 981 enable ///使能管理vlan 981

QSL_XXNHT_CS(cfg)#set vlan 981 add port 25 tag//vlan 981通过上行口25口透传

QSL_XXNHT_CS(cfg)#create vlan 981 name [ManagerVlan] //创建vlan描述为[ManagerVlan]

3、用户端口配置

用户端口采用强制10M全双工的配置;端口的描述为方括号“[ ]”中加入用户楼室的编号,例如2幢202室的用户端口描述为:[2#202]。

QSL_XXNHT_CS(cfg)#set port 1 enable //开启用户端口

QSL_XXNHT_CS(cfg)#set port 1 speed 10//设置端口速率为10Mbps

QSL_XXNHT_CS(cfg)#set port 1 duplex full //设置端口的双工模式为 full 全双工

QSL_XXNHT_CS(cfg)#set port 1 pvid 2500 //设置端口的默认VLAN为2500

QSL_XXNHT_CS(cfg)#set port 1 description [2#202] //描述端口为[2#202]

4、级联端口配置

级联端口采用强制100M全双工的配置;端口的描述为方括号“[Uplink/Downlink_交换机编号 ]”,例如中心交换机的25口上行局端,则描述为:[Uplink_QSL_MA5200(2)-E18]。

QSL_XXNHT_CS(cfg)#set port 25 enable //开启上行端口

QSL_XXNHT_CS(cfg)#set port 25 speed 100//设置端口速率为100Mbps

QSL_XXNHT_CS(cfg)#set port 25 duplex full //设置端口的双工模式为 full 全双工

QSL_XXNHT_CS(cfg)#set port 25 description [Uplink_QSL-MA5200(2)-E18]

//描述上行端口为[Uplink_QSL-MA5200(2)-E18]

//描述下行端口示范 [Downlink_ QSL_XXNHT_1#1]

5、设置广播风暴抑制

QSL_XXNHT_CS(cfg)#set stormcontrol enable //开启广播风暴抑制功能

QSL_XXNHT_CS(cfg)#set stormcontrol xon 24000 //设置结束广播风暴抑制的门限

QSL_XXNHT_CS(cfg)#set stormcontrol xoff 72000 //设置开始广播风暴抑制的门限

6、三层接口(管理接口)配置

QSL_XXNHT_CS(cfg)#config router //进入三层配置模式

QSL_XXNHT_CS(cfg-router)#set ipport 0 ipaddress 61.133.186.172 255.255.255.0

//设置三层端口的IP地址和子网掩码

QSL_XXNHT_CS(cfg-router)#iproute 0.0.0.0 0.0.0.0 61.133.186.1 //设置默认路由(网关)

QSL_XXNHT_CS(cfg-router)#set ipport 0 vlan 981 //为三层端口绑定VLAN

QSL_XXNHT_CS(cfg-router)#set ipport 0 enable //使能三层端口

7、SNMP配置

SNMP的团体名目前只设置只读属性的share,SNMP的目标主机为两个:218.22.16.5和202.102.198.62。

QSL_XXNHT_CS(cfg)#config snmp //进入snmp的配置模式

QSL_XXNHT_CS(cfg-snmp)#create community share public

//设置snmp读团体名字符串share

QSL_XXNHT_CS(cfg-snmp)#create view nmdview //创建视图nmdview

QSL_XXNHT_CS(cfg-snmp)#set community share view nmdview

//设置视图包含指定的团体名

QSL_XXNHT_CS(cfg-snmp)#set traphost 218.22.16.5 share

QSL_XXNHT_CS(cfg-snmp)#set traphost 202.102.198.62 share

//设置trap主机以及相应的团体名

QSL_XXNHT_CS(cfg-snmp)#set trap {linkdown|linkup|authenticationfail|coldstart|warmstart} {enable|disable}

//使能/关闭SNMP的链路断开、链路接通、认证失败、冷启动、热启动等trap

8、Telnet用户配置及相应的密码配置、登陆超时设置

创建用户root,密码为hftelecom;enable密码为hftelecom;登陆超时时限为5分钟。

QSL_XXNHT_CS(cfg)#create user root//创建用户root

QSL_XXNHT_CS(cfg)# loginpass hftelecom //设置登陆密码hftelecom

QSL_XXNHT_CS(cfg)# adminpass hftelecom //设置enable密码

QSL_XXNHT_CS(cfg)# line-vty timeout 5//设置Telnet登陆超时时限为5分钟

9、Telnet Radius远程认证配置

创建ISP域domain_jrw,认证服务器为218.22.16.2,认证端口为1812,共享蜜钥为jrw,并将该域设置为默认域。

设置Telnet认证方式为远程认证。

QSL_XXNHT_CS(cfg)#config nas //进入NAS配置模式

QSL_XXNHT_CS(cfg-nas)#radius isp domain_jrw enable //添加ISP域domain_jrw

QSL_XXNHT_CS(cfg-nas)# radius isp domain_jrw add authentication 218.22.16.2 1812

//在ISP域中添加认证服务器 218.22.16.2 认证端口为UDP 1812

QSL_XXNHT_CS(cfg-nas)#radius isp domain_jrw sharedsecret jrw

//设置ISP域共享密钥

QSL_XXNHT_CS(cfg-nas)#radius isp domain_jrw defaultisp enable

//设置domain_jrw为默认的ISP域

QSL_XXNHT_CS(cfg-nas)#exit

QSL_XXNHT_CS(cfg)#set loginauth radius //设置登陆认证方式为Radius认证

10、SSH配置

QSL_XXNHT_CS(cfg)#set ssh enable //启用SSH功能

三、维护命令

1、查看全部配置

show running //查看全部配置

2、MAC地址

show fdb detail //查看所有的MAC地址(静态+动态)

show fdb port 24 //查看某一个端口学习到的MAC地址

3、端口维护

show port 24 //显示端口的配置和工作状态

show port 24 statistics //显示端口的统计数据

clear port 24 statistics //清除端口的名称/统计数据

4、端口镜像

set mirror add port 1,2,3//添加被镜像端口

set mirror monitorport 24 //设置监听端口

set mirror type {ingress|egress|all}//设置端口镜像监听类型

set mirror enable /

远程升级准备

一、软件准备

需要FTP软件,以及BOOT软件,以及版本文件。

二、配置检查

1. 检查FTP是否可用 target,target登陆,先在本地测试一下。并检查服务器上是否已经存放好版本文件。

2. 检查IP地址是否设置正确,建议先PING通后再进行升级操作。

主控板SCBF/SCBX升级步骤

1. 保存网元配置数据。

DSL# copy running-config startup-config

2. 保存网元配置数据到本地服务器上

DSL# copy running-config network

Please input new FTP server IP address: 10.100.1.2

Please input new file name(*.cfg): 9210conf.cfg

Upload start:

.........

3. 用download命令升级SCBF版本

DSL# download

Please choose the type[1-system2-configuration3-card version7-boot]:1 ---输入1,表示升级SCBF版本

Please input new FTP server IP address: 10.100.1.2 ―――输入FTP服务器IP

Please input new file name: vxworks.z ―――输入版本文件名

........ 1659388 bytes saved on flash.

Load has finished.

4. 远程升级SCBF/SCBX 的BOOT版本

DSL# download

Please choose the type[1-system2-configuration3-card version7-boot]:7 ---输入1,表示升级SCBF版本

Please input new FTP server IP address: 172.19.2.8 ―――输入FTP服务器IP

Please input new file name: 9210BOOT5.0.0o.BIN ―――输入版本文件名

........ 1659388 bytes saved on flash.

Load has finished.

5. 察看版本情况,然后切换版本并重启。

DSL# show ver

Main version name : vxWorks.Z

Main version state : valid

Main version size : 1655734

Back version name : vxworks.z

Back version state : new

Back version size : 1659388

Boot version name : 5.0.0F

DSL# switch version

Are you sure to switch between back version and main version? [y/n]y

DSL# show ver

Main version name :vxworks.z

Main version state : new

Main version size : 1659388

Back version name : vxWorks.Z

Back version state : valid

Back version size : 1655734

Boot version name : 5.0.0F

DSL# reboot

Are you sure to reset the device? [y/n]y

复位后新版本就起作用了

Edsl

Edsl

Enable密码:zxedsl 必须进入$模式才能进行用户板升级。

ASIGN升级步骤

一、使线卡进入safemode的命令

DSL# config card mode safemode

Please choose a card[1-15,19(all ADSL)]: 1 ―――这里输入需要升级的线卡所在槽位,如果选择单个槽位,那么这时候那个槽位对应的用户板就开始进入safemode模式,如果选择19就是进入批处理模式,系统还会提示输入线卡类型

Please choose card type[1-ATIGN,2-ASIGN,3-ASIKB…]:2 --这里按照提示输入需要升级的线卡类型

然后所选的单板就开始进入安全模式

说明:

1、 本命令可以完成两种功能:

1)、指定槽位号进行单块单板进入safemode

2)、输入19,可以将本框内所有处于UP状态的所选类型线卡进入safemode

2、 当屏幕打印“The card is ready to enter safe mode ... successfully”,表明此线卡已经正确接收safemode命令,并正在重启线卡,当重启后,线卡将会进入safemode模式。

DSL$config

DSL(config)$ ca mo safemode

Please choose a card[1-15,19(batch)]:1

The card is ready to enter safe mode ... successfully

二、下载线卡版本的命令

DSL$ download

Please choose the type[1-system2-configuration3-card version7-boot]:3

Please choose a card[1-15,18,19(All ADSL cards)]:19 这里输入需要升级的线卡所在槽位,输入19表示进行批处理升级

Please choose card type[1-ATIGN,2-ASIGN,3-ASIKB,4-SHDSL]:2 如果前面输入的是批处理模式,这里提示要求输入要升级的线卡类型

Please input new FTP server IP address: 10.100.1.2 输入ftp服务器的ip地址

Please input new file name: ASIGV320X.FUL 输入要升级的版本文件名

fetch card version.................

card:1 need to load version

load version completed

Load has finished.

等到版本文件传完后,单板会自动重启。单板功能要等到重启完成后,使用show card显示单板状态为UP时才正常

三明9203、9210调试模版(9210scbf V5.0.0p-9203scbx V5.0.0p)

用户名:edsl

密码: edsl

在config下利用card slot 1 cardtype asign命令

DSL#config

DSL(config)#time(修改9203时间)

DSL(config)show card

DSL(config)# card slot 1 cardtype asign (添加槽位用户板类型(这条命令里的“1”代表你要配置的槽位号,“ATIGN”根据你show出来的用户板硬件类型来写,有ATIGN,还有ASIGN等。有几块用户板就要做几次这条命令,每个槽位都要做,输完这条命令后用户板要等会才能起来)

DSL(config)#add-vlan 200 (必需先创建网管vlan200)

DSL(config)#add-vlan 1001-1996 (添加业务vlan1,该业务vlan根据规划改变)

DSL(config)#vlan 200 4/7 tag (把主控板的5端口作为上联口加到网管vlan200并打上标签,注:9210上联的主控板为16槽位,应为:vlan 200 4/7 tag,其中的7代表主控板的第一个千兆光口)

DSL(config)#vlan 1001-1996 4/7 tag (把主控板的7端口作为上联口加到业务vlan并打上标签)

DSL(config)#vlan 1001-1032 1/1-32 untag (把用户板的1-32端口加到业务vlan)

DSL(config)#ip subnet 172.16.178.7 255.255.255.0 200 (这里设置带内网管ip地址、掩码,最后一个数字就是写网管VLAN号,必须写,要么加不起地址,刚开始没创建那个VLAN的话也加不起。)

DSL(config)#ip route 134.146.35.0 255.255.255.0 172.16.178.1 (设置路由)

DSL(config)#ip route 10.100.1.0 255.255.255.0 172.16.178.1

DSL(config)#snmp-server host 10.100.1.2 private (设置网管服务器)

DSL(config)#pvlan enable

DSL(config)#pvlan uplink-port-group 16/1

DSL(config)#slot dsl-mpvc 1 (进入用户板时该板应启动完成为UP状态)

DSL(cfg-slot-dsl(m)-1)# atm pvc 0:100 pvc1(指定用户侧PVC)

DSL(cfg-slot-dsl(m)-1)# adsl profile s2048k(对业务端口进行2M限速)

DSL(cfg-slot-dsl(m)-1)# pvid 1001-1032 pvc1(设置端口的pvid)

DSL(cfg-slot-dsl(m)-1)# no shutdown(打开板上所有业务端口)

DSL(cfg-slot-dsl(m)-1)# adsl transmode (设置传输模式)

[1] T1.413 G.dmt(FDM)

[2] T1.413 G.dmt(EC)

[3] Adsl2(fdm) Adsl2+(fdm) G.dmt(fdm) ReAdsl2(fdm)

[4] Adsl2(fdm) Adsl2+(ec) G.dmt(fdm) ReAdsl2(fdm)

[5] Adsl2(fdm) Adsl2+(fdm) G.dmt(fdm) ReAdsl2(fdm) T1.413

[6] Adsl2(fdm) Adsl2+(ec) G.dmt(fdm) ReAdsl2(fdm) T1.413

[7] Custom

Please choose a transmode [1-7](Def: 3)3

DSL(cfg-slot-dsl(m)-1)#exit

DSL(conf)#interface ethernet 16/5 (如果电口上联,需做100M强制全双工)

DSL(cfg-if-eth-16/1)#auto-negotiate disable (如果电口上联,需做100M强制全双工)

DSL(cfg-if-eth-16/1)#duplex full(如果电口上联,需做100M强制全双工)

DSL(cfg-if-eth-16/1)#speed 100 (如果电口上联,需做100M强制全双工)

DSL# show interface 16/5

DSL(conf)#vlan 1001 16/4 untag (16插槽上第4网口做上网测试口方法)

DSL(conf)#interface ethernet 16/4 (16插槽上第4网口做上网测试口方法)

DSL(conf -thernet 16/4)#pvid 1001 (16插槽上第4网口做上网测试口方法)

DSL(conf -thernet 16/4)# ex (16插槽上第4网口做上网测试口方法)

DSL(config)#exit

DSL(config)#interface dsl-mpvc 1/1 (进入单个用户版端口)

DSL(cfg-if-adsl<m>-1/1)#bind mac-address (绑定mac-address)

DSL(cfg-if-adsl<m>-1/1)#bind ip-address ( 绑定ip-address)

DSL(config)$ system special auto-arp-interval 300 (设置ARP老化时间,有实际需要时才做)

DSL#copy running-config startup-config

Y

测试完毕后关闭所有用户端口

9203scbx板升级到V5.0.0p9210SCBF板升级到V5.0.0p

敲s

c

rt0

host

vxworks.z

136.2.7.7

136.2.7.8

target

target

0x0

F

用户板ASIGN升级到3.2x

用户板asikb不用切换到安全模式,可直接用asikb.frm,版本升级到3.2t版本

用户板asigb不用切换到安全模式, 可直接用asigb_M.frm,版本升级到3.3k版本

config

card mode safemode

19

2

download

3

19

2

136.2.7.8

ASIGV320X.FUL

erase

config

time

system hostname

card slot 1 cardtype asigb

card slot 2 cardtype asigb

card slot 3 cardtype asigb

card slot 4 cardtype asigb

card slot 5 cardtype asigb

card slot 6 cardtype asigb

card slot 7 cardtype asigb

card slot 8 cardtype asigb

card slot 9 cardtype asigb

card slot 10 cardtype asigb

card slot 11 cardtype asigb

card slot 12 cardtype asigb

card slot 13 cardtype asigb

card slot 14 cardtype asigb

card slot 15 cardtype asigb

add-vlan 200

add-vlan 1001-1480

vlan 200 16/7 tag

vlan 1001-1480 16/7 tag

ip igmp disable

vlan 1001-1032 1/1-32 untag one

vlan 1033-1064 2/1-32 untag one

vlan 1065-1096 3/1-32 untag one

vlan 1097-1128 4/1-32 untag one

vlan 1129-1160 5/1-32 untag one

vlan 1161-1192 6/1-32 untag one

vlan 1193-1224 7/1-32 untag one

vlan 1225-1256 8/1-32 untag one

vlan 1257-1288 9/1-32 untag one

vlan 1289-1320 10/1-32 untag one

vlan 1321-1352 11/1-32 untag one

vlan 1353-1384 12/1-32 untag one

vlan 1385-1416 13/1-32 untag one

vlan 1417-1448 14/1-32 untag one

vlan 1449-1480 15/1-32 untag one

ip subnet 172.16.82.46 255.255.255.0 200

ip route 10.100.1.0 255.255.255.0 172.16.82.1

ip route 134.146.35.0 255.255.255.0 172.16.82.1

ip route 192.168.0.0 255.255.255.0 172.16.82.1

ip route 192.168.254.0 255.255.255.0 172.16.82.1

snmp-server host 10.100.1.2 private

pvlan enable

y

pvlan uplink-port-group 16/1

adsl-profile s512k

y

adsl-profile s1024k

y

adsl-profile s2048k

y

adsl-profile s3072k

y

adsl-profile s4096k

y

adsl-profile s6144k

y

adsl-profile s8192k

y

adsl-profile s512k 上行改为256

adsl-profile s1024k 上行改为384

adsl-profile s2048k 上行改为384

adsl-profile s3072k 上行改为384

adsl-profile s4096k 上行改为512

adsl-profile s6144k 上行改为384

adsl-profile s8192k 上行改为384

slot dsl-mpvc 1

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1001-1032 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 2

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1033-1064 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 3

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1065-1096 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 4

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1097-1128 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 5

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1129-1160 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 6

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1161-1192 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 7

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1193-1224 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 8

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1225-1256 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 9

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1257-1288 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 10

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1289-1320 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 11

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1321-1352 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 12

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1353-1384 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 13

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1385-1416 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 14

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1417-1448 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

slot dsl-mpvc 15

atm pvc 0:100 pvc1

adsl profile s2048k

pvid 1449-1480 pvc1

loop-check interval 8

tra enable

no shutdown

adsl transmode

3

exit

exit

设备正常的开通配置和以前一致,需要注意的是,针对上联口请增加以下配置:

注意:以下配置,需要和局方沟通,确认局方规划中没有使用vlan 1

1、增加一个不用的vlan;(将来也很少用到的vlan,如add-vlan 4093)

2、将上联口加入此vlan中,tag、untag均可以;(如vlan 4093 16/7 tag)

3、将上联口的pvid修改为这个不用的vlan值 ;(如pvid 4093)

4、将上联口从vlan 1中删除;(如no vlan 1 16/7)

5、进入上联口中,配置命令ingress discard

6、配置完以上信息,使用show interface 16/7和show vlan 1命令查看是否正确

add-vlan 4093

vlan 4093 16/7 untag

no vlan 1 16/7

int eth 16/7

ing dis

pvid 4093

exit

copy running-config startup-config

y

测试完毕后关闭所有用户端口

8426带外IP:10.1.1.5/24

8220v2.x带外IP:10.62.25.180

9210带外IP:10.62.5.101/8

100.12.22.22/8

136.2.7.7/16

9203带外IP:10.12.22.22/8

100.12.22.22/8

1.在做9210的时候,三明地区给分配的IP有可能会与9210的带外IP冲突,所以在启动9210的时候最好先进入boot模式下将其带外IP改掉,一般改为136.2.7.7

2.在9203的开局模板步骤中没有修改上联端口模式,但是9203和9210的电口大多都不是强制百兆全双工的,所以在开局过程中若遇到用电口上联的情况,最好先查看一下上联端口的模式,进入端口状态用speed 100命令将其改为强制百兆全双工。

3.网管vlan用200,网管服务器ip:10.100.1.2,路由要做两条,一条到网管服务器,另一条到目的网段:134.146.35.0,做一条还是两条视情况而定。线路配置文件:s512k,s1024k,s2048k,s4096k,s6144k.s8192k,s2688up768,s1344up640,s640up320

4.设置带内MAC地址

DSL#enable (密码zxedsl--即超级用户下)

debug mo 12345

deb mo 888999 p1 00:d0:d0:dd:ee:ff

重启让mac地址生效,可到第9步完成后一次重启


欢迎分享,转载请注明来源:夏雨云

原文地址:https://www.xiayuyun.com/zonghe/611535.html

(0)
打赏 微信扫一扫微信扫一扫 支付宝扫一扫支付宝扫一扫
上一篇 2023-07-13
下一篇2023-07-13

发表评论

登录后才能评论

评论列表(0条)

    保存